Diebold’s Research Group

Diebold’s Research Group

The focus of this research group is the measurement of nanoscale properties including dimensions. Metrology, the science of measurement, covers a wide range of techniques and thus physics. As the dimensions of nanoelectronic features shrink, phenomena such as quantum confinement alter the physical properties of materials and the measurements of nanoscale materials. In addition, interface properties are often determining factors in the function of nanoscale materials stacks and structures. This group covers all areas of nanometrology and specializes in linear and non-linear optical measurements and electron microscopy.

Dr. Diebold’s group works in the field of nanoelectronic metrology. His research interests include:

  • Metrology for CMOS extension including transistor and interconnect metrology. This includes all measurement areas: thin film, stress, feature shape and dimension.
  • Characterization and Metrology for Beyond CMOS Devices.
  • The optical physics of nano-scale materials and measurements.
  • Linear and Non-linear optical measurements of interfacial properties.
  • Optical characterization of quantum confinement, excitons, and other phenomena in nanoscale materials and structures.

Dr Diebold’s group gratefully acknowledges support from:

Current Funding

  • TEL USA for Applications of Machine Learning to Materials Characterization, 2021 - 2024
  • Onto Innovations for Mueller Matrix Scatterometry Measurement of 3D DRAM structures fabricated with Si/SiGe multilayers, Summer 2022 – 2024

Previous Funding

  • TEL Technology Center, USA for Advanced CMOS Metrology, 2019-202
  • SRC for Determining the Sensitivity of Metrology to Changes in Multi-Nanowire and Multi-Nanosheet FETs, 2017-2019
  • NERC – INDEX for Aberration-Corrected Microscopy and Non-Linear Spectroscopy for Nanoscale Metrology (2008 – 2012) Graphene Integration (2013 – 2017)
  • Nanometrics Incorporated for Metrology of Nanostructures using Mueller Matrix Polarimetry
  • SRC for Advanced Linear and Non-Linear Optical CD Metrology
  • New York Center for National Competitiveness in Nanoscale Characterization – for Metrology for and determination of properties of new materials and structures
  • KLA-Tencor for Advanced Optical Measurements
  • SEMATECH for Advanced CMOS Metrology
  • SEMATECH 3D Interconnect for Advanced TSV Microscopy

People

Alain Diebold

Alain Diebold

Empire Innovation Professor of Nanoscale Science
Executive Director Center of Nanoscale Metrology
Executive Director New York Center of National Competitiveness in Nanoscale Characterization (NC)3
[email protected]

Professor Diebold received his PhD from the Department of Chemistry at Purdue University in 1979 under the guidance of Professor Steve Ademan. His thesis was titled: A Generalized Langevin Equation Approach to Gas – Solid Surface Scattering. His post-doctoral work was with Professor Nick Winograd at Pennsylvania State University in the area of angle resolved secondary ion mass spectrometry studies of single crystal surface phenomena. Alain recently became a professor at the College of Nanoscale Science and Engineering at the University at Albany. His research will focus on the impact of nanoscale dimensions on the physical properties of materials; he also continues to work in the area of nanoelectronics metrology. He is a member of the International Metrology Technical Working Group, founder and co-chair of the U.S. Metrology Technical Working Group for the 2007 International Technology Roadmap for Semiconductors, and chair of the Manufacturing Science and Technology Group of the American Vacuum Society. He was a SEMATECH Senior Fellow, with the main focus of his activities involving metrology industry coordination. He has edited the Handbook of Silicon Semiconductor Metrology, published in June 2001; is a Panel Member for the Metrology section of Future Fab International; and, he has co-edited three books that are conference proceedings from Characterization and Metrology for ULSI Technology and its predecessor conference. He also worked at Allied Signal in the areas of molecular beam epitaxy of III-V compounds and materials characterization of a broad range of semiconductor and amorphous metal products.


Ezra Mel Pasikatan

Ezra Mel Pasikatan

Ezra Pasikatan is a PhD candidate at SUNY Polytechnic Institute. The topic of his dissertation is Advanced Characterization and Metrology of 3D Memory film Stacks and Structures using Mueller Matrix Ellipsometry based Scatterometry. Ezra obtained a masters in nanoscale engineering at SUNY Poly in 2022 and has a bachelor's in chemical engineering from Oregon State University. Before going to graduate school, he spent eight years in the semiconductor industry as an engineer at ASML and Wafertech (TSMC Fab 11). As an applications engineer at ASML, he helped introduce a type of diffraction-based overlay metrology capital equipment into a customer's technology development Fab in Hillsboro, Oregon. He also has managed mature fab toolsets ranging from defect review, thin film, overlay and SEMs as a metrology equipment engineer at Wafertech. His goal is to contribute as to projects at the cutting edge of semiconductor technology.

 

Alumni Members

Madhulika Korde

Madhulika Korde

Metrology Engineer at Nova
[email protected]

Ms. Madhulika Korde is a PhD graduate student at SUNY Polytechnic Institute in Albany, NY. Her current research focuses on the characterization of Si/SiGe FinFETs and contact holes for Gate-All-Around Transistors for the development of advanced technology nodes. The most critical metrology techniques used for this project are Scatterometry, Reciprocal Space X-Ray Diffraction and Critical-Dimension Small Angle X-Ray Scattering. In addition, she has formal training in diverse metrology techniques, semiconductor devices, process control and has studied organic emulsion stability. She is eager to explore the numerous opportunities available in the fields of nanoelectronics, nanoarchitecture and metrology. Madhulika completed her Bachelor’s in Chemical Engineering at the Institute of Chemical Technology, Mumbai, India. Her previous work includes organic polymer based-photovoltaic cells, emulsification and de-emulsification technology and the study of magneto-caloric materials. Her novel work on the de-emulsification of an organic industrial mixture provided a practically feasible solution and has been integrated into the compound synthesis process by VAL Organics India Ltd. Her strong interest in materials and their integration into functional devices drove her towards pursuing Nanoscale Engineering at The College of Nanoscale Science and Engineering at SUNY Polytechnic Institute. Looking ahead, she would like to contribute towards the development of novel 3D structures using optimized functional materials for the semiconductor industry.


Amir Kordijazi

Amir Kordijazi 

Interdisciplinary Materials Scientist
[email protected]

Amir Kordijazi is an interdisciplinary materials scientist with research interest in applying data science and materials informatics techniques in design, synthesis, characterization, and optimization of advanced nanoscale materials. He received his PhD with a major in Industrial & Manufacturing Engineering and a minor in Materials Science & Engineering from the University of Wisconsin, Milwaukee, in 2021. His doctoral research focused on applying data-driven techniques to design adsorbent materials for water treatment application. He subsequently joined the College of Nanoscale Science and Engineering at SUNY Polytechnic Institute, NY, as a postdoctoral associate. He is currently working at the Center of Metrology in close collaboration with a group of scientists from Tokyo Electron US to apply artificial intelligence and machine learning for design, characterizations, and optimization of new generations of nanoscale semiconductor materials."


Colin Wadsworth

Colin Wadsworth

Colin Wadsworth graduated SUNY Polytechnic Institute College of Nanoscale Science and Engineering with a bachelors in Nanoscale Science and a minor in physics in 2022 with a focus in characterization and metrology of different nanoscale structures. Research areas are using different measurement techniques to analyze nanoscale structure such as Mueller Matrix Ellipsometry based scatterometry and XRD. He also trains graduate and undergraduate students in using the RC2 ellipsometer and the CompleteEase software to measure and model different structures. He interned at Global Foundries working in the Optical Hybrid Metrology group, where he helped optimize different optical models to improve the accuracy of critical dimension measurements. Currently a graduate student at the University of Minnesota pursuing a doctorate in material science. In the future, he hopes to contribute to the material science field through understanding and discovering new materials and their behaviors.


Vineetha Mukundan

Vineetha Mukundan

Research Scientist
[email protected]

Vineetha Mukundan joined the group as a postdoctoral assocaite in October 2017. She obtained her PhD in Physics from Purdue University, Indiana, USA in 2014 (Dissertation: Structural characterization of multimetallic nanoparticles). Before coming to CNSE, she has worked on materials characterization of fuel cell catalytic nanoparticles, molecular electronics and fluid mechanics of clay particles. She has sustained interests in areas of condensed matter physics, statisitical mechanics and non-linear dynamics. Her main role at CNSE is to provide materials characterization and metrology by different techniques (including synchrotron based) of high k-dieletric thin films developed by TEL Technology Center, America for the continued scaling of CMOS devices. While not at work, Vineetha pursues amateur photography, traveling, hiking in the woods and Indian classical carnatic music.


YongQiang An

YongQiang An

YongQiang An received his Ph.D in Physics from the University of Colorado at Boulder in 2003, in the area of optical second-harmonic generation at Si surfaces. Thereafter, he worked as a postdoc at the University of California at Berkeley (2003-2004), the University of Texas at Austin (2004-2008), and Los Alamos National Laboratory (2008-2011), on a variety of projects in the areas of nonlinear optics and ultrafast spectroscopy. He developed a new technique for measuring the phase of second-order susceptibility tensors and his work on UO2 opened a new field of research-ultrafast dynamics of 5f electrons. Y. Q. An has continued to pursue his research goals in femtosecond spectroscopy of electronic materials, joining the group in 2012.


Sonal Dey

Sonal Dey

Metrology Engineer (GLOBALFOUNDRIES)

Sonal Dey joined the group as a postdoctoral associate in December, 2014. He obtained his Ph.D. in Physics from Kent State University, Ohio, USA in 2013 (dissertation title: Elasticity of Main Chain Liquid Crystal Elastomers and its Relationship to Liquid Crystal Microstructure). Before coming to CNSE, he worked as a postdoctoral research associate with Prof. Robert Mayanovic at Missouri State University, investigating the physicochemical properties of various functional nanoscale and mesoporous materials and structure-property relationship and theoretical modelling in glasses, melts and amorphous materials. His primary responsibility is to provide the metrology R&D efforts (both at synchrotron facilities and at CNSE) necessary for characterizing the next generation high-k dielectric films and metal gate materials, developed in a collaborative research program between TEL Technology Center, America and Professor Diebold’s Research group. Apart from research, Sonal enjoys playing chess and likes to travel.


Avery Green

Avery Green

Avery Green received a B.S. in Physics with a minor in Mathematics from the University of California at San Diego. While working on his B.S. degree, he worked in an isotopic chemistry lab, designing and building a thin film deposition system in order to model isotopic reactions on the surfaces of meteors. He joined the group in 2013, and is currently working on Topological Insulator-related metrology.


Sam O’Mullane

Sam O’Mullane

Applications Scientist (Nanometrics)
Ph.D. Nanoscale Science, 2015

Sam received his MSc. in Quantum Field & Fundamental Force Theory from Imperial College London in 2013 and his BS in Physics from Boston College in 2012. He defended his thesis on plasmonic enhancements in ellipsometric measurements of metal lines in November 2015. He currently works on scatterometry for Nanometrics.


Dhairya Dixit

Dhairya Dixit

Metrology Engineer (GLOBALFOUNDRIES)
Ph.D. Nanoscale Engineering, 2015

Dhairya received a B.S. in Metallurgy and Materials science Engg (2008 – 2012) from Faculty of Technology and Engineering (Maharaja Sayajirao University, Baroda). His project was Characterization and property evaluation of CuInSe2 thin film prepared from nanocrystalline powder. He completed and defended his thesis on semiconductor-related CD scatterometry in August 2015, and is currently working on scatterometry for IBM.


Relja Vasic

Relja Vasic

Postdoctoral Research Associate (2011-2014)
Ph.D. Physics, Florida State University (2007)

Relja received his Ph.D. in Physics from the Florida State University at the National High Magnetic Field Laboratory in 2007. Later, he worked at National Research Council as a postdoctoral fellowship at North Carolina State University studying multiferroic materials. worked on the characterization of high k gate dielectrics.


Manasa Medikonda

Manasa Medikonda

Metrology Engineer (GLOBALFOUNDRIES)
Ph.D. Nanoscale Engineering, 2014

Manasa Medikoda received her Masters of Science Degree in Electrical Engineering, Polytechnic Institute of New York University, NY in 2011 and Bachelor’s degree in Electronics & Communications Engineering from MVIT college, Bangalore, India in 2008. She completed and defended her thesis on High resolution X-ray diffraction and optical characterization of fully strained pseudomorphic SiGe and GeSn alloy layers in July 2014, and is currently working in engineering for Global Foundries.


Gangadhara Raja Muthinti

Gangadhara Raja Muthinti

Metrology Engineer (IBM)
Ph.D. Nanoscale Engineering, 2013

Gangadhara Raja Muthinti received his B.E in Chemical Engineering from Andhra University College of Engineering, India in April 2007. Later, he joined the Department of Chemical & Bio-Molecular Engineering at Clarkson University, New York in Fall 2007 to perform research on Process Intensified (PI) Modules for process industries. His research was to understand and predict the mass transfer characteristics of a Rotating Tube Reactor (RTR), one of the state of the art intensified modules present in the field of Process Intensification. He graduated in December 2009 and received his Master’s Degree in Chemical Engineering. Later, he worked as an intern for a start up company R3 Fusion Inc., Troy, NY and was a lead member in designing and installing a patent pending process module for several industrial clients. He joined the group in Fall 2010 and graduated with his PhD in Nano Engineering at the University at Albany-SUNY in 2013, in The College of Nanoscale Science and Engineering.


Florence Nelson

Florence Nelson

Metrology Engineer (IBM)
Ph.D. Nanoscale Engineering (2012)

Florence Nelson received a B.S. in Electrical Engineering, Minor in Computer Science, from State University of New York at New Paltz in December 2007. From 2004-2008 she worked at IBM Poughkeepsie in the Server Technology Group as a Product Safety and EMC intern.


LayWai Kong

LayWai Kong

DETD/Senior Process Engineer (Intel)
Ph.D. Nanoscale Engineering (2011)

LayWai received a B.S in Applied Physics and a Minor in Music from University Sains Malaysia in May 2005. After her graduation she worked as a Failure Analysis Engineer for National Semiconductor, Malaysia. With her industrial experience she joined University of Massachusetts Dartmouth for her Masters in Physics and graduated in 2008. She worked on Microfluidics-Nitrate Sensor ( Master’s Thesis). She later joined Dr. Diebold’s group and worked on IR and Acoustic microscopy of 3-D interconnects.


Vimal Kamineni

Vimal Kamineni

Principal Research Engineer (GLOBALFOUNDRIES)
Ph.D. Nanoscale Science (2011)

Vimal Kamineni received a dual degree in B.E. in Electronics & Instrumentation and a M.Sc. in Physics from Birla Institute of Technology & Science in Pilani in December 2004. He joined Louisiana Tech University in fall 2005 and worked on advanced characterization techniques (EXAFS & XANES) at Center for Advanced Microstructures & Devices, Baton Rouge. His research at Louisiana Tech University was on hydrogen storage materials (supported by the National Science Foundation, Division of Materials Research), and he graduated with a dual degree in M.S. in Molecular Science & Nanotechnology and a M.S. in Microsystems Engineering. He joined the group in fall 2007 and is pursuing his Ph.D. in Nanoscale Science at the University at Albany-SUNY, in The College of Nanoscale Science and Engineering. He worked on the development of robust optical models for thin metal films using Variable Angle Spectroscopic Ellipsometry (VASE). He also characterized the pore size, shape, distribution and interconnectivity in porous low-k dielectrics using X-ray Reflectivity (XRR), Transmission Electron Microscopy (TEM) and the IBM-X20 beam lines at the National Synchrotron Light Source at Brookhaven National Laboratory in New York.


Tianhao Zhang

Tianhao Zhang

Senior Engineer (KLA-Tencor)
Postdoctoral Research Associate (2011)
Ph.D. Physics, University of Colorado (2008)

Tianhao Zhang received the PhD degree in Physics in May, 2008 from JILA, a joint institute of NIST and University of Colorado at Boulder. Under the direction of Dr. Steven T. Cundiff, he developed optical two-dimensional Fourier-transform spectroscopy as a novel technique for the studies of exciton dynamics, many-body interactions, and disorders in semiconductor nanostructures. He started postdoctoral research in the group in Nov. 2008, and worked on the characterization of nanoscale materials and structures with linear and nonlinear optical methods including second harmonic generation and photoreflectance. He received BS and MS degrees from University of Science and Technology of China before coming to USA.


Eric Bersch

Eric Bersch

Metrology Engineer (GLOBALFOUNDRIES)
Postdoctoral Research Associate (2011)
Ph.D. Physics, Rutgers University (2008)

Eric Bersch graduated from Rutgers University with a PhD in Physics in July, 2008. At Rutgers, he worked under the direction of Dr. Robert Bartynski using photoemission and inverse photoemission spectroscopies to measure the energy level alignment of two types of material systems: metal/oxide/semiconductor stacks with high-k oxides and organic dye/oxides. A third project he worked on was the measurement of the electronic structure of the stoichiometric and reduced SnO2(101) surface. Previous to his work at Rutgers, he taught physics and coached basketball and soccer at Pompton Lakes High School for 5 years.


Joshua LaRose

Joshua LaRose

M.S. Nanoscale Engineering (2011)
Applications Engineer (Tokyo Electron Ltd)

Joshua LaRose received a B.S. in Physics with a minor in Mathematics from the State University of New York at Albany in May 2009. While working on his B.S. degree, he worked on ion beam materials analysis, as well as rare Earth doped Silicon crystals for spintronic applications. He joined the group in 2009, and worked on the characterization of High-k gate dielectrics


Ming Di

Ming Di

Ph.D. Nanoscale Science (2010)
Applications Manager (KLA-Tencor)

Ming Di received a B.S. in Physics from Fudan University, Shanghai, China in May 2002. He has received his Ph.D at the University at Albany-SUNY, in the College of Nanoscale Science and Engineering and his thesis title is”INVESTIGATION OF THE THRESHOLD VOLTAGE SHIFT EFFECT OF La2O3 ON TiN/HfO2/La2O3/SiO2/Si STACKS”.

Publications

Significant Books
  • Optical and Electrical Properties of Nanoscale Materials, Alain C. Diebold and Tino Hofmann, (Springer Nature, 2021).
  • Handbook of Silicon Semiconductor Metrology (Dekker, 2001).
Co-editor of
  • Semiconductor Characterization: Present Status and Future Needs (1996).
  • Characterization and Metrology for ULSI Technology (1998, 2000, 2003, and 2005).
  • Frontiers of Metrology and Characterization for Nanoelectronics (2007, 2009, 2011) AIP Press.
  • Analytical and Diagnostic Techniques for Semiconductor Materials, Devices, and Processes 7, ECS Transactions Vol. II No. 3 2007.
Book Chapters
  • Characterization and Metrology for Graphene Materials, Structures, and Devices, L. Colombo, A. Diebold, C. Casiraghi, M. Kim, R.M. Wallace, and A. Venugopal, Characterization and Metrology for Nanoelectronics and Nanostructures, (Pan Stanford, 2016), Chapter 15.
  • Advanced Ellipsometry Measurements for Semiconductor Structures, S.J. O’Mullane, D. Dixit, and A.C. Diebold, Characterization and Metrology for Nanoelectronics and Nanostructures, (Pan Stanford, 2016), Chapter 3.
  • Spectroscopic Ellipsometry of Nanoscale Materials for Semiconductor Device Applications, A.C. Diebold, F.J. Nelson, and V.K. Kamineni, Ellipsometry at the NanoScale, (Springer, Berlin, 2013), Chapter 16.
  • 3D Interconnect Technology, J.U. Knickerbocker, L.W. Kong, S. Niese, A. Diebold, E. Zschech,In Advanced Interconnects for ULSI Technology, Ed. M. R. Baklanov, P.S. Ho and E. Zschech (Wiley, West Sussex, 2012), Ch. 12.
  • Novel Analytical Methods for Cleaning Evaluation, C.M. Sparks and A.C. Diebold, In Handbook for Cleaning for Semiconductor Manufacturing: Fundamentals and Applications, 2nd Edition, Editors: K. Reinhardt and R.F. Reidy, (Wiley, New York, 2011), Chapter 15.
  • Optical Properties of Semiconductors, D.G. Seiler, S. Zollner, A.C. Diebold, and P.M. Amirtharaj, In Handbook of Optics Volume IV, 3nd edition, (McGraw Hill, New York, 2009), Chapter 5.
  • Challenges to advanced materials characterization for ULSI applications, A.C. Diebold, In Materials for Information Technology, Eds. E. Zschech, C.M. Whelan, and T. Mikolajick, (Springer, New York, 2005), pp 421- 436.
  • In-Line Metrology (updated for second edition), A.C. Diebold, Chapter 24 In the Handbook of Semiconductor Manufacturing, Y. Nishi and R. Doering Eds., (Marcel Dekker, New York, new edition in process).
  • Materials Characterization and Metrology of High k Dielectric Films, A.C. Diebold and W. Chism, In: High Dielectric Constant Materials, eds. H.R. Huff and D.C. Gilmer, (Springer-Verlag, Heidelberg, 2005) pp 483 – 517.
  • Metrology Challenges for 100 nm and Beyond, A.C. Diebold, in Semiconductor Processing and IC Fabrication, H.-J., Gossmann, ed., in The Encyclopedia of Materials: Science and Technology, (Pergamon, accepted for 2001).
  • Overview of Metrology for On-chip Interconnect, A.C. Diebold, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • Status of Lithography Metrology at the end of 2000, A.C. Diebold, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • Silicon Semiconductor Metrology, A.C. Diebold, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • Metrology for On-Chip Interconnect Dielectrics, A.C. Diebold, W. Chism, T.G. Dziura, and A. Kanan, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • Metal Interconnect Process Control using Picosecond Ultrasonics – A.C. Diebold and R. Stoner, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • Electron microscopy based measurement of feature thickness and calibration of reference materials, Handbook of Silicon Semiconductor Metrology, A.C. Diebold, ed., (Marcel Dekker, New York, 2001).
  • In-Line Metrology, A.C. Diebold, Chapter 24 in the Handbook of Semiconductor Manufacturing, Y. Nishi and R. Doering Eds., (Marcel Dekker, New York, 2000), pp 745-795.
Invited Talks
  • Characterization and Metrology of Si/Si(1-x)Ge(x) nanoscale superlattice film stacks and semiconductor device structures, Key Note Invited Talk, 8th International Conference of Theoretical and Applied Nanoscience and Nanotechnology (TANN 2024), June 10-12, 2024, University of Toronto, Toronto, Canada.
  • Metrology Techniques, A. Diebold and P. van der Heide. Invited Tutorial, Frontiers of Characterization and Metrology for Nanoelectronics 2024, April 15-18, 2024, Monterey, CA,
  • Characterization and Metrology for Atomically Precise Manufacturing (Working Title), AVS National Symposium, Nov. 5-10, 2023, Portland, OR.
  • Characterization and Metrology for Advanced Memory Devices (working title), International Conference on Electron, Ion, Photon Beam Technology and Nanofabrication (EIPBN), May 30-June 2, 2023,  San Francisco, CA.
  • Determination of Nanostructure Shape and Dimension using Mueller Matrix Spectroscopic Ellipsometry, International Conference on Spectroscopic Ellipsometry 9, May 22-28, 2022,  Beijing.
  • In-Line Metrology for Semiconductor Manufacturing, Invited Tutorial, Frontiers of Characterization and Metrology 2022, June 20-23, Monterey, CA.
  • The Application of Mueller Matrix Spectroscopic Ellipsometry based Scatterometry and CD- Small Angle X-ray Scattering to determination of the feature shape and dimensions of integrated circuit structures, 18th International Conference on Nanosciences & Nanotechnologies (NN21), 6-9 July 2021, Thessaloniki, Greece.
  • Metrology for Advanced Transistor and Memristor Devices and Materials, A.C. Diebold and N. Cady, Proceedings of SPIE Advanced Lithography: Metrology, Inspection, and Process Control for Microlithography XXXIII, San Jose, Feb. 23-27, 2020.
  • The Application of Mueller Matrix Spectroscopic Ellipsometry to Scatterometry Measurement of Feature Dimension and Shape for Integrated Circuit Structures, 66th AVS National Symposium, Columbus, Oct. 20-25, 2019.
  • The application of Mueller Matrix Spectroscopic Ellipsometry based Scatterometry to determination of the feature shape and dimensions of integrated circuit structures, Invited Tutorial Talk at the International Conference on Spectroscopic Ellipsometry VIII, Barcelona, May 26-31, 2019.
  • Advances in Optical Dimensional Metrology and Microscopy, Critical Materials Conference, Saratoga, April 26, 2019.
  • The application of Mueller Matrix Spectroscopic Ellipsometry based Scatterometry to determination of the feature shape and dimensions of integrated circuit structures, Invited Tutorial Talk at the International Conference on Spectroscopic Ellipsometry VIII, Barcelona, May 26-31, 2019.
  • The Application of Mueller Matrix Spectroscopic Ellipsometry to Scatterometry Measurement of Feature Dimension and Shape for Integrated Circuit Structures, 66th AVS National Symposium, Columbus, Oct. 20-25, 2018.
  • The Application of Mueller Matrix Spectroscopic Ellipsometry to Scatterometry based Measurement of Feature Shape and Dimension, Plenary Note Talk for Frontiers in Optical Metrology, TOM6, European Optical Society Biannual Meeting 2018, Delft, Oct. 8-12, 2018.
  • Nanometrology and Nanocharacterization in Nanoelectronics, 2017 AVS National Symposium, Oct. 30 - Nov. 3, Tampa, FL.
  • Muller matrix-based scatterometry, 2017 International Conference on Frontiers of Characterization and Metrology for Nanoelectronics, Monterey, CA, Mar. 21-23, 2017.
  • Materials Characterization at the Nanoscale, UT Dallas, March 11, 2016 
  • Semiconductor Industry Needs for Electron Microscopy, in “Electron Microscopy Frontiers: Metrology Opportunities and Challenges”, NIST, Gaithersburg, March 8 & 9, 2017.
  • Characterization and Metrology from FinFETS & Interconnect to Beyond CMOS materials, Semicon Korea 2016, Seoul, Korea, February Feb. 9, 2017.  
  • Importance and Challenges of Metrology for Nanoscale Materials and Semiconductor Structures, Determining the Composition and Structure of Small Volumes II, Oregon Challenges Workshop Keynote Talk, Eugene, July 28 and 29, 2015.
  • Synchrotron Metrology for Ultra-Thin Films Deposited by ALD for Emerging MOS Devices, Sonal Dey and Alain Diebold, Workshop on Semiconductor Technology Development through Characterization by Synchrotron and Electron Microscopy Techniques at the NSLS-II/CFN Users’ Meeting, Brookhaven National Laboratory, May 20, 2015.
  • Optical Properties of Nanoscale Nanoelectronic Materials, University of Texas San Antonio Department of Physics, April 11, 2014.
  • Metrology Tools for Semiconductor Manufacturing, A.C. Diebold, Advances in Measurement Technology Session at the March 2014 APS meeting, Denver, CO, March 3 – 7, 2014.
  • Optical Properties of Nanoscale Nanoelectronic Materials, A.C. Diebold, Laboratory of Surface Modification (LSM) Seminar Series, Rutgers University, New Brunswick, NJ, November 14, 2013.
  • Optical Properties of Nanoscale Materials, A.C. Diebold, AVS National Symposium, Long Beach, CA, October 27 – November 1, 2013.
  • The 2012 ITRS Metrology Roadmap, A.C. Diebold and C. Hacker, 2013 Frontiers of Characterization and Metrology for Nanoelectronics, Gaithersburg, MD, March 26 -28, 2013.
  • Beyond Graduate School: Academic and Industrial Science, Center for Nanohybrid Function Materials NSF-EPSCoR Symposium, University of Nebraska, Oct. 15, 2012.
  • Metrology for Advanced Gate Dielectric Materials, Synchrotron Techniques in Microelectronics Research at the 2012 NSLS/CFN Joint Users’ Meeting, Brookhaven National Laboratory, May 23, 2012.
  • The Effect of Electron Phonon Interactions on Optical Properties of Nanoscale Films, European Materials Research Society (E-MRS), Strasbourg, May 14-18, 2012.
  • Impact of Nanoscale Dimensions on Optical Properties, Nanotech Conference and Expo 2011, Boston, June 13 -16, 2011.
  • Characterization and Metrology Roadmap for Future CMOS, Frontiers of Characterization and Metrology for Nanoelectronics 2011, May 23 – 27, 2011.
  • Semiconductor Metrology from New Transistor and Interconnect Materials to Future Nano-Structures; Keynote talk as a part of the “opening ceremonies” for Metrology, Inspection, and Process Control for Microlithography XXV, at SPIE Advanced Lithography, San Jose, 27 February – 4 March 2011.
  • Topic Optical Characterization of Graphene, Physical Chemistry Seminar, Department of Chemistry, Purdue University, March 2, 2011.
  • Optical Characterization of CVD Graphene using Spectroscopic Ellipsometry, University of Delaware, Materials Science seminar, Oct. 13, 2010.
  • 3D Integration: Processes, Materials and Analysis (with Ehrenfried Zschech, Fraunhofer IZFP Dresden), at the 3D Interconnect Process Control and Quality Engineering Tutorial at the Electronics System Integration Technology Conferences 2010, September 13, 2010. Berlin, Germany
  • Optical spectroscopy for bonded wafer inspection: IR, Raman at the 3D Interconnect Process Control and Quality Engineering Tutorial at the Electronics System Integration Technology Conferences 2010, September 13, 2010. Berlin, Germany.
  • Graphene Characterization and Metrology and its Standardization, Fourth International Workshop on Metrology, Standardization and Industrial Quality of Nanotubes (MSIN10), Montreal, 27 June 2010.
  • From the Lab to the FAB: the Progression of Laboratory to FAB based Measurements, Short course at 10th European Advanced Equipment Control/Advanced Process Control (AEC/APC) Conference, Catania, Sicily – Italy, 2010.
  • Advances in Graphene Metrology, A.C. Diebold, T. Zhang, and F. Nelson, 217th ECS Meeting, , Vancouver, Canada, April 26 -30, 2010.
  • Metrology of Advanced Materials with Emphasis on High K – Metal Gate and Graphene, KLA-Tencor’s CTO Seminar Series, San Jose July 16, 2009.
  • The Characterization and Metrology of Graphene, Nanomanufacturing Summit 2009 May 27-29 Boston.
  • Metrology for Emerging Materials, Devices, and Structures: Graphene as an Example, A.C. Diebold, Frontiers of Characterization and Metrology for Nanoelectronics 2009, Albany, May 11 -14, 2009.
  • Process integration and process characterization of high-k/metal gate stacks, 18th Material for Advanced Metallization Workshop, Grenoble, France, March  8 to 11, 2009.
  • Overview of Optical Measurements for Advanced Transistor Processes, Columbia University, Dec. 9, 2008.
  • Impact of Quantum Confinement on the characterization of thin semiconductor films, AVS – Surface Analysis 2008, State College, PA, June 2008.
  • Metrology requirements for double patterning – Approaching the Optical Limit: Practical Methods for Patterning 22nm HP and Beyond, Lake George, NY, May 15, 2008.
  • Are Optical Measurements Sensitive to Quantum Confinement?, DPG 2008 Annual Meeting of the German Society of Physics (DPG), Berlin, Feb 25 – 29, 2008.
  • Optical Characterization of Thin Semiconductor and Metal Films, FENA ONAMI Workshop on Nanoelectronics for High Performance Computing and Information Processing, UCLA, Nov. 20, 2007.
  • Optical Observation of Quantum confinement and Quantum Size Effects, Rutgers University, Surface Science Seminar Series, September 13, 2007
  • Ellipsometry and NanoMetrology, 4th International Conference on Spectroscopic Ellipsometry, Stockholm, June 11-15, 2007.
  • Metrology for Nanoelectronics: Metrology Roadmap, AEC-APC Europe, Dresden, April 17-19, 2007.
Conference Proceedings
  • D. G. Seiler, A. C. Diebold, R. McDonald, C. M. Garner, D. Herr, R. P. Khosla, E. M. Secula, Frontiers of Characterization and Metrology for Nanoelectronics: 2009, American Institute of Physics, Melville, NY, Vol. 1173, 398 pp.
  • D. G. Seiler, A. C. Diebold, R. McDonald, C. M. Garner, D. Herr, R. P. Khosla, E. M. Secula, Frontiers of Characterization and Metrology for Nanoelectronics: 2007, American Institute of Physics, Melville, NY, Vol. 931, 603 pp.
  • D. G. Seiler, A. C. Diebold, R. McDonald, C. Ayre, R. Khosla, S. Zollner, E. M. Secula, Characterization and Metrology for ULSI Technology: 2005, American Institute of Physics, Melville, NY, 11747-4502, Vol 788, 667 pp.
  • D. G. Seiler, A. C. Diebold, T. J. Shaffner, R. McDonald, S. Zollner, R. P. Khosla, E. M. Secula, Characterization and Metrology for ULSI Technology: 2003, American Institute of Physics, Melville, NY, Vol 683, 812 pp.
  • D. G. Seiler, A. C. Diebold, T. J. Shaffner, R. C. McDonald, W. M. Bullis, P. J. Smith, E. M. Secula, Characterization and Metrology for ULSI Technology: 2000, American Institute of Physics, Melville, NY, Vol 550, pp. 1-708.
  • D. G. Seiler, A. C. Diebold, W. M. Bullis, T. J. Shaffner, R. C. McDonald, E. J. Walters, Characterization and Metrology for ULSI Technology, American Institute of Physics, Melville, NY, Vol 449.
  • W. M. Bullis, D. G. Seiler, A. C. Diebold, Semiconductor Characterization: Present Status and Future Needs: 1995, American Institute of Physics, Woodbury, NY, 729p.
Selected Previous Publications
  • Spectroscopic Ellipsometry Characterization of HfxSiyOz films Using The Cody – Lorentz Parameterized Model, J. Price, P.Y. Hung, T. Rhoad, B. Foran, and A.C. Diebold, Appl. Phys.Lett.85, (2004), pp 1701- 1703. (Abstract)
  • Thin Dielectric Film Thickness Determination by Advanced Transmission Electron Microscopy, A.C. Diebold, B. Foran, C. Kisielowski, D.A. Muller, S.J. Pennycook, E. Principe, and S. Stemmer, Microsc. Microanal. 9, 2003, pp 493- 508. – Awarded Best Materials Paper 2003 by Microscopy and Microanalysis. (Abstract)
  • Characterization and production metrology of thin transistor gate oxide films, A.C. Diebold, D. Venables, Y. Chabal, D. Muller, M. Weldon, and E.Garfunkel, Mat. Sci. in Semi Proc. 2, (1999), pp. 103-147(45) (Abstract)
  • High-Resolution Microcalorimeter Energy-Dispersive Spectrometer for X-ray Microanalysis and Particle Analysis, D.A Wollman, G.C. Hilton, K.D. Irwin, L.L. Dulcie, N.F. Bergren, D.E. Newbury, K-S Woo, B.Y.H. Liu, A.C. Diebold, and J. Martinis, Characterization and Metrology for ULSI Technology, D.G. Seiler, A.C. Diebold, M. Bullis, T.J. Shaffner, R. McDonald, and E.J. Walters Eds., (AIP Press, New York, 1998), pp 799-804.
  • Comparison of the submicron particle analysis capabilities of Auger Electron spectroscopy, time-of-flight secondary ion mass spectrometry, and scanning electron microscopy with energy dispersive x-ray spectroscopy for particles deposited on silicon wafers with one micron thick oxide layers, A.C. Diebold, K. Childs, P. Lindley, J. Viteralli, J. Kingsley, B.Y.H. Liu, and K-S Woo, J. Vac. Sci. Technol. A16, 1825, 1998.
  • A New Time of Flight Heavy Ion Backscattering Spectrometer System for Trace Contamination Measurements, J. Banks, J. Knapp, and A.C. Diebold, Proceedings of Ion Beam Analysis 12, 1995.
  • Characterization of 2-Dimensional Dopant Profiles: Status and Review, A.C. Diebold, M. Kump, J. Kopanski, and D. Seiler, Diagnostic Techniques for Semiconductor Materials and Devices 1994, Eds. D.K. Schroeder, J.L. Benton, and P. Rai-Choudhary, The Electrochemical Proceedings Volume 94-33, 78 (1994).
  • Microcontamination Detection Using HIBS (heavy ion backscattering spectrometry), J. Knapp, J. Banks, B. Doyle, and A.C. Diebold, Proceedings of Microcontamination 94, 1994.
  • Measurement of Silicon Surface Microroughness by AFM, Y.E. Strausser, B. Doris, A.C. Diebold, and H.R. Huff, The 185th meeting of the Electrochemical Society, May 22-27, 1994, San Francisco, CA.
  • Measurement of Silicon Surface Microroughness by Atomic Force Microscopy, B. Doris, A.C. Diebold, R. Hegde, and H. Huff, ASTM F1.06 Workshop on Particles, Haze, and Microroughness, Austin, Nov. 2 + 3, 1993.
  • Evaluation of Surface Analysis Methods for Characterization of Trace Metal Surface Contaminants found in Silicon IC Manufacturing, A.C. Diebold, P. Maillot, M. Gordon, J. Baylis, J. Chacon, R. Witowski, H. Arlinghaus, J.A. Knapp, and B.L. Doyle, Journal of Vacuum Science and Technology A10, 2945 (1992).
  • Characterization of Structure Function Relations for Various TiN Films, V.S. Dharmadhikari, A.C. Diebold, E. Boden, and C.F. Hoener, 38th Annual Symposium American Vacuum Society, Nov. 11 15, 1991, Seattle, WA.
  • Evaluation of Sputter Initiated Resonant Ionization Spectroscopy For Characterization of Trace Metal Contamination found in Silicon IC Manufacturing, A.C. Diebold, P. Maillot, M. Gordon, and H. Arlinghaus, Second International Workshop on Post-Ionization Techniques in Surface Analysis, May 15 17, 1991, State College, Pennsylvania.
  • Use of Raman Spectroscopy to Characterize Strain in III V Epilayers: Application to InAs on GaAs (001) grown by MBE, A.C. Diebold, S.W. Steinhauser, and R.P. Mariella, Jr., Ninth Molecular Beam Epitaxy Workshop, Sept. 1988, and Journal of Vacuum Science and Technology B7, 365 (1989).
  • Dry Process UV Patterning of Paracyclophane to Polyparaxylylene, R.P. Mariella Jr., S.W. Steinhauser, and A.C. Diebold, Journal of Vacuum Science and Technology B5, 1360 (1987).
  • High Resolution Electron Energy Loss Spectroscopy of Hydrogen Chemisorption at Nb (100) Surfaces: Evidence for the Subsurface Absorption Sites, Ying Li, J.L. Erskine, and A.C. Diebold, Physical Review B 34, 5951 (1986).
  • An Investigation by Auger and Laser Acoustic Microscopy of the Bond between Layers of Consolidated Amorphous Ribbon (Powercore), D. Raybould and A.C. Diebold, Journal of Materials Science 21, 193 (1986).
  • Stability of High Frequency Magnetic Properties of Metallic Glasses, G.E. Fish, V.R.V. Ramanan, R. Hasegawa, and A.C. Diebold, IEEE Transactions on Magnetics, Mag 19, No.5, 1937, (1983).
  • Angle Resolved SIMS Studies of O2 and CO Chemisorption on Ni3Fe (111), R.J. Bleiler, A.C. Diebold, and N. Winograd, Journal of Vacuum Science and Technology A1(2), 1230 (1983).
  • Theory of Charge Transfer for Desorption of Ions from Surfaces, B.J. Garrison, A.C. Diebold, J.H. Lin, and Z. Sroubek, Surface Science 124, 461 (1983).
  • A Convergence Study of Solid Models Used in the Generalized Langevin Theory for Gas Solid Processes, A.C. Diebold, Chemical Physics Letters 69, 505 (1980).
  • Generalized Langevin Theory for Gas Solid Processes: Continuum Elastic Treatment of Surface Lattice Dynamics, A.C. Diebold, S.A. Adelman, and C.Y. Mou, Journal of Chemical Physics 71, 3236 (1979).
  • Energetics of Diatom Solid Dissociative Adsorption, A.C. Diebold and G. Wolken, Surface Science 82, 245 (1979).
  • Vibrational Relaxation Times of F2 He and Ar, H2 He, and D2 He II, W.A. Cady and A.C. Diebold, Journal of Chemical Physics 67, 4730 (1977).
  • Vibrational Relaxation Times of F2 with Ar and He, and H2 and D2 with He, W.A. Cady and A.C. Diebold, Journal of Chemical Physics 64, 686 (1976).
Latest Publications
Optical Properties: 2D and Topological Materials
  • Optical second harmonic generation from silicon (100) crystals with process tailored surface and embedded silver nanostructures for silicon nonlinear nanophotonics, Bhowmik, Y.Q. An, S. Schujman, A.C. Diebold, and M. Huang, J. Appl. Phys. 128, (2020) 165106.
  • Fermi level manipulation through native doping in the topological insulator Bi2Se3, L.A. Walsh, A.J. Green, R. Addou, W. Nolting, C.R. Cormier, A.T. Barton, T.R. Mowll, R. Yue, N. Lu, N. Kim, M.J. Kim, V.P. LaBella, C. Ventrice, S. McDonnell, W.G. Vandenberghe, R.M. Wallace, A.C. Diebold, and C.L. Hinkle, ACS Nano 12, (2018), pp 6310-6318. online DOI: 10.1021/acsnano.8b03414.
  • Transiently stimulated second-harmonic generation from silicon nanogratings, Y.Q. An and A.C. Diebold, Phys. Rev. B 96, (2017), 201306R. ( abstract link https://journals.aps.org/prb/abstract/10.1103/PhysRevB.96.201306)
  • Surface oxidation of the topological insulator Bi2Se3
    Avery J. Green, Sonal Dey, Yong Q. An, Brendan O’Brien, Samuel O’Mullane, Bradley Thiel, and Alain C. Diebold
    Journal of Vacuum Science & Technology A 34(6), (2016), pp. 061403 (Abstract)
  • Tracking quintuple layer oxidation on cleaved Bi2Se3 by optical second-harmonic anisotropy
    Yong Q. An, Avery J. Green, and Alain C. Diebold
    Phys. Rev. B 93(24), (2016), pp. 241308 (Abstract)
  • Pulsed-N2 assisted growth of 5-20 nm thick β-W films
    Avyaya J. Narasimham, Avery Green, Richard J. Matyi, Prasanna Khare, Tuan Vo, Alain Diebold, and Vincent P. LaBella
    AIP Advances 5(11), (2015), pp. 117107 (Abstract)
  • Electronic Excitations in Graphene in the 1–50 eV Range: The π and π + σ Peaks Are Not Plasmons
    Florence J. Nelson, Juan-Carlos Idrobo, John D. Fite, Zoran L. Mišković, Stephen J. Pennycook, Sokrates T. Pantelides, Ji Ung Lee, and Alain C. Diebold
    Nano Lett. 14(7), (2014), pp. 3827-3831 (Abstract)
  • Optical second-harmonic generation induced by electric current in graphene on Si and SiC substrates
    Yong Q. An, J. E. Rowe, Daniel B. Dougherty, Ji Ung Lee, and Alain C. Diebold
    Phys. Rev. B 89(11), (2014), pp. 115310 (Abstract)
  • Enhanced Optical Second-Harmonic Generation from the Current-Biased Graphene/SiO2/Si(001) Structure
    Yong Q. An, Florence Nelson, Ji Ung Lee, and Alain C. Diebold
    Nano Lett. 13(5), (2013), pp. 2104-2109 (Abstract)
  • Optical properties of large-area polycrystalline chemical vapor deposited graphene by spectroscopic ellipsometry
    F. J. Nelson, V. K. Kamineni, T. Zhang, E. S. Comfort, J. U. Lee, and A. C. Diebold
    Applied Physics Letters 97(25), (2010), pp. 253110 (Abstract)
Mueller Matrix based Scatterometry
  • Superlattice Effects and limitations of non-destructive measurement of advanced Si/Si1-x Gex superlattice structures using Mueller Matrix Scatterometry (MMSE) & High-Resolution X-Ray Diffraction (XRD), E. Pasikatan, G.A. Antonelli, N. Keller S. Kal, M. Rednor, Markus Kuhn, A.C. Diebold, Metrology, Inspection, and Process Control XXXVIII, San Jose, Feb.26-29, 2024.
  • Characteristic Mueller matrix responses and correlation analysis for vertical GAA nanowire structure manufacturing using RCWA simulated spectra, C. Wadsworth, E. Pasikatan, N. Keller, A. Antonelli, A.C. Diebold, Proc. SPIE 12496, Metrology, Inspection, and Process Control XXXVII, 1249619 (27 April 2023); doi: 10.1117/12.2657690.
  • Characterization of nanoscale sub-surface features during selective etching of multi-layered Nanowire Test Structures using Critical Dimension – Small Angle X-Ray Scattering, M. Korde, S. Kal, C. Alix, , A. Mosden, R.J. Kline, D. Sunday, and A.C. Diebold in preparation.
  • Characterization of nanoscale sub-surface features during selective etching of multi-layered Nanowire Test Structures using Critical Dimension – Small Angle X-Ray Scattering, M. Korde, S. Kal, C. Alix, , A. Mosden, R.J. Kline, D. Sunday, and A.C. Diebold in preparation.
  • Non-destructive characterization of nanoscale sub-surface features during selective etching of multi-layered Nanowire Test Structures using Mueller Matrix Spectroscopic Ellipsometry based scatterometry, M. Korde, S. Kal, C. Alix, N. Keller, G.A. Antonelli, A. Mosden, A.C. Diebold, J. Vac. Sci. Technol. B, 38, (2020), 024007.  https://avs.scitation.org/doi/10.1116/1.5136291
  • Non-destructive characterization of nanoscale sub-surface features during selective etching of multi-layered Nanowire Test Structures using Mueller Matrix Spectroscopic Ellipsometry based scatterometry, M. Korde, S. Kal2, C Alix, N. Keller3, A. Mosden, A.C. Diebold, J. Vac. Sci. Technol. B, (2020), accepted.
  • Metrology of nanowire / nanosheet FETs for advanced technology nodes, M. Korde, R. J. Kline, D.F. Sunday, N. Keller, S. Kal, C. Alix, A. Mosden, A.C. Diebold, Proceedings of SPIE Advanced Lithography: Metrology, Inspection, and Process Control for Microlithography XXXIII, San Jose, Feb. 23-27, 2020.
  • Optical Characterization of multi-NST Nanowire Test Structures using Muller Matrix Spectroscopic Ellipsometry (MMSE) based scatterometry for sub 5nm nodes, M. Korde, S. Kal, C. Pereira, N. Keller, A. Mosden, and A.C. Diebold, Proceedings of SPIE Advanced Lithography: Metrology, Inspection, and Process Control for Microlithography XXXIII, San Jose, Feb. 24-28, 2019. Proc. of SPIE Vol. 10959 109590X.
  • Optical measurement of feature dimensions and shapes by scatterometry, A.C. Diebold, A. Antonelli, N. Keller, APL Mat. 6, (2018), 058201:  abstract:  https://doi.org/10.1063/1.5018310
  • Mueller matrix spectroscopic ellipsometry based scatterometry simulations of Si and Si/SixGe1-x/Si/SixGe1-x/Si fins for sub 7-nm node gate-all-around transistor metrology, S. Dey, N. Keller, M. Korde, and Alain C. Diebold, SPIE, Metrology, Inspection, and Process Control for Microlithography XXXII, SPIE Advanced Lithography, San Jose, Feb 25-Mar. 1, 2018.
  • Modeling ellipsometric measurement of novel 3D structures with RCWA and FEM simulations
    Samuel O’Mullane, Nick Keller, and Alain C. Diebold
    Conference: Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, pp. 977805 (Apr 21, 2016) (Abstract)
  • Optical critical dimension metrology for directed self-assembly assisted contact hole shrink
    Dhairya Dixit, Avery Green, Erik R. Hosler, Vimal Kamineni, Moshe E. Preil, Nick Keller, Joseph Race, Jun Sung Chun, Michael O’Sullivan, Prasanna Khare, Warren Montgomery, and Alain C. Diebold
    J. Micro/Nanolith. MEMS MOEMS 15(1), (2016), pp. 014004-014004 (Abstract)
  • Modeling ellipsometric measurement of three-dimensional structures with rigorous coupled wave analysis and finite element method simulations
    Samuel O’Mullane, Nick Keller, and Alain C. Diebold
    J. Micro/Nanolith. MEMS MOEMS 15(4), (2016), pp. 044003-044003 (Abstract)
  • Silicon fin line edge roughness determination and sensitivity analysis by Mueller matrix spectroscopic ellipsometry based scatterometry
    Dhairya Dixit, Samuel O’Mullane, Sravan Sunkoju, Erik R. Hosler, Vimal Kamineni, Moshe Preil, Nick Keller, Joseph Race, Gangadhara Raja Muthinti, and Alain C. Diebold
    Conference: Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, pp. 94242P (Apr 10, 2015) (Abstract)
  • Scatterometric analysis of a plasmonic test structure
    Samuel O’Mullane, Nick Keller, Joseph Race, Brian Martinick, and Alain Diebold
    Conference: Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, pp. 942411 (Mar 19, 2015) (Abstract)
  • Optical CD metrology for directed self-assembly assisted contact hole shrink process
    Dhairya Dixit, Erik R. Hosler, Moshe Preil, Nick Keller, Joseph Race, Jun Sung Chun, Michael O’Sullivan, M. Warren Montgomery, and Alain Diebold
    Conference: Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, pp. 94240T (Mar 19, 2015) (Abstract)
  • Sensitivity analysis and line edge roughness determination of 28-nm pitch silicon fins using Mueller matrix spectroscopic ellipsometry-based optical critical dimension metrology
    Dhairya Dixit, Samuel O’Mullane, Sravan Sunkoju, Abhishek Gottipati, Erik R. Hosler, Vimal Kamineni, Moshe Preil, Nick Keller, Joseph Race, Gangadhara Raja Muthinti, and Alain C. Diebold
    J. Micro/Nanolith. MEMS MOEMS 14(3), (2015), pp. 031208-031208 (Abstract)
  • Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry
    Dhairya J. Dixit, Vimal Kamineni, Richard Farrell, Erik R. Hosler, Moshe Preil, Joseph Race, Brennan Peterson, and Alain C. Diebold
    J. Micro/Nanolith. MEMS MOEMS 14(2), (2015), pp. 021102-021102 (Abstract)
  • Enhancing one dimensional sensitivity with plasmonic coupling
    Samuel O’Mullane, Brennan Peterson, Joseph Race, Nick Keller, and Alain C. Diebold
    Optics Express 22(21), (2014), pp. 26246 (Abstract)
  • Mueller matrix optical scatterometry of Si fins patterned using directed self-assembly block copolymer line arrays
    D. Dixit, M. Medikonda, A. C. Diebold, B. Peterson, and J. Race
    Conference: 25th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2014), pp. 180-185 (May, 2014)
  • Metrology for directed self-assembly block lithography using optical scatterometry
    Dhairya Dixit, Vimal Kamineni, Richard Farrell, Erik Hosler, Moshe Preil, Joseph Race, Brennan Peterson, and Alain C. Diebold
    Conference: Proc. SPIE 9050, Metrology, Inspection, and Process Control for Microlithography XXVIII, pp. 90500N (Apr 02, 2014) (Abstract)
  • Mueller based scatterometry measurement of nanoscale structures with anisotropic in-plane optical properties
    Gangadhara R. Muthinti, Manasa Medikonda, Jody Fronheiser, Vimal K. Kamineni, Brennan Peterson, Joseph Race, and Alain C. Diebold
    Conference: Proc. SPIE 8681, Metrology, Inspection, and Process Control for Microlithography XXVII, pp. 86810M (Apr 18, 2013) (Abstract)
  • Characterization of e-beam patterned grating structures using Mueller matrix based scatterometry
    Gangadhara Raja Muthinti, Brennan Peterson, Ravi K. Bonam, and Alain C. Diebold
    J. Micro/Nanolith. MEMS MOEMS 12(1), (2013), pp. 013018-013018 (Abstract)
High K- Metal Gate and Interconnect Barrier Characterization
  • M. Liehr, J. Hazra, K. Beckmann, V. Mukundan, I. Alexandrou, T. Yeow, J. Race, K. Tapily, S. Consiglio, S. Kurinec, A. Diebold, and N. Cady. Implementation of High-Performance and High-Yield Nanoscale Hafnium Zirconium Oxide based Ferroelectric Tunnel Junction Devices on 300mm Wafer Platform, J. Vac. Sci Technol., B 41, (2023), 012805.
  • Ferroelectric phase in 7 nm Hf(1-x)ZrxO2 thin films, V. Mukundan, S.M. Consiglio, D.H Triyoso, K. Tapily, M.E McBriarty, S. Schujman, K. Beckmann, V. Kaushik, N. Cady, R. Clark, G. Leusink, A.C. Diebold, submitted. Physica Status Solidi A: Applications and Materials Science 218, (2021), 2100024.
  • Quantifying non-centrosymmetric orthorhombic phase fraction in 10 nm ferroelectric Hf0.5Zr0.5O2 thin films, V. Mukundan, S. Consiglio, D.H. Triyoso, K. Tapily, S. Schujman, C. Mart, T. Kämpfe, W. Weinreich, R.D. Clark, G.J. Leusink, and A.C. Diebold, Appl. Phys. Lett. 117, (2020), 262905.
  • Quantifying non-centrosymmetric orthorhombic phase fraction in 10 nm ferroelectric Hf0.5Zr0.5O2 thin films, V. Mukundan, S. Consiglio, D.H. Triyoso, K. Tapily, S. Schujman, C. Mart, T. Kämpfe, W. Weinreich, R.D. Clark, G.J. Leusink, and A.C. Diebold, submitted.
  • Structural correlation of ferroelectric behavior in mixed hafnia-zirconia high-k dielectrics for FeRAM and NCFET applications, V. Mukundan, K. Beckmann, K. Tapily, S. Consiglio, R. Clark, G. Leusink, N. Cady, A.C. Diebold, MRS Advances (2019), pp 1-17. https://doi.org/10.1557/adv.2019.148
  • Teaching a New Dog Old Tricks:  Ferroelectric HfZrO Films and Devices, R.D. Clark, K. Tapily, S. Consiglio, C.S. Wajda, G.J. Leusink, A.C. Diebold, and S. Datta, Fall Symposium of the Electrochemical Society, Cancun,  Sept. 30 – Oct. 4, 2018. Published in ECS Transactions.
  • Atomic layer deposited ultrathin metal nitride barrier layers for ruthenium interconnect applications
    Sonal Dey, Kai-Hung Yu, Steven Consiglio, Kandabara Tapily, Takahiro Hakamata, Cory S. Wajda, Gert J. Leusink, Jean Jordan-Sweet, Christian Lavoie, David Muir, Beatriz Moreno, and Alain C. Diebold
    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35(3), (2017), pp. 03E109 (Abstract)
  • Role of Ge and Si substrates in higher-k tetragonal phase formation and interfacial properties in cyclical atomic layer deposition-anneal Hf1−xZrxO2/Al2O3 thin film stacks
    Sonal Dey, Kandabara Tapily, Steven Consiglio, Robert D. Clark, Cory S. Wajda, Gert J. Leusink, Arthur R. Woll, and Alain C. Diebold
    Journal of Applied Physics 120(12), (2016), pp. 125304 (Abstract)
  • Electrically Scaled Hafnium Oxide Based Ge Devices
    Kandabara Tapily, Sonal Dey, Steven Consiglio, Robert D. Clark, Cory S. Wajda, Gert J. Leusink, and Alain C. Diebold
    ECS Trans. 75(5), (2016), pp. 135-140 (Abstract)
  • Higher-k Tetragonal Phase Stabilization in Atomic Layer Deposited Hf1-xZrxO2 (0<x<1) Thin Films on Al2O3 Passivated Epitaxial-Ge
    Sonal Dey, Kandabara Tapily, Steven Consiglio, Kai-Hung Yu, Robert D. Clark, Cory S. Wajda, Gert J. Leusink, Arthur R. Woll, and Alain C. Diebold
    MRS Advances 1(4), (2016), pp. 269-274 (Abstract)
  • In Situ Ramp Anneal X-ray Diffraction Study of Atomic Layer Deposited Ultrathin TaN and Ta1-xAlxNy Films for Cu Diffusion Barrier Applications
    S. Consiglio, S. Dey, K. Yu, K. Tapily, R. D. Clark, T. Hasegawa, C. S. Wajda, G. J. Leusink, and A. C. Diebold
    ECS J. Solid State Sci. Technol. 5(9), (2016), pp. P509-P513 (Abstract)
  • Atomic Layer Deposition of Ultrathin TaN and Ternary Ta1-XAlXNy Films for Cu Diffusion Barrier Applications in Advanced Interconnects
    Steven Consiglio, Kyle Yu, Sonal Dey, Kandabara Tapily, Robert D. Clark, Toshio Hasegawa, Cory S. Wajda, Gert J. Leusink, and Alain C. Diebold
    ECS Trans. 69(7), (2015), pp. 181-189 (Abstract)
  • Electrical Enhancement and Higher-K Engineering in Ultra-Thin Atomic Layer Deposited Hf1-xAlxOy Films
    K. Tapily, S. Consiglio, R. D. Clark, R. Vasić, C. S. Wajda, J. Jordan-Sweet, G. J. Leusink, and A. C. Diebold
    ECS J. Solid State Sci. Technol. 4(2), (2015), pp. N1-N5 (Abstract)
  • Engineering crystallinity of atomic layer deposited gate stacks containing ultrathin HfO2 and a Ti-based metal gate: Effects of postmetal gate anneal and integration schemes
    Steven Consiglio, Kandabara Tapily, Robert D. Clark, Toshio Hasegawa, Fumitaka Amano, Gert J. Leusink, Jean Jordan-Sweet, Relja Vasić, Manasa Medikonda, and Alain C. Diebold
    Journal of Vacuum Science & Technology B 32(3), (2014), pp. 03D122 (Abstract)
  • Multi-technique x-ray and optical characterization of crystalline phase, texture, and electronic structure of atomic layer deposited Hf1−xZrxO2 gate dielectrics deposited by a cyclical deposition and annealing scheme
    Relja Vasić, Steven Consiglio, Robert D. Clark, Kandabara Tapily, Shawn Sallis, Bo Chen, David Newby Jr, Manasa Medikonda, Gangadhara Raja Muthinti, Eric Bersch, Jean Jordan-Sweet, Christian Lavoie, Gert J. Leusink, and Alain C. Diebold
    Journal of Applied Physics 113(23), (2013), pp. 234101 (Abstract)
  • Texturing and Tetragonal Phase Stabilization of ALD HfxZr1-xO2 Using a Cyclical Deposition and Annealing Scheme
    Kandabara Tapily, Steven Consiglio, Robert D. Clark, Relja Vasić, Eric Bersch, Jean Jordan-Sweet, Ilyssa Wells, Gert J. Leusink, and Alain C. Diebold
    ECS Trans. 45(3), (2012), pp. 411-420 (Abstract)
  • Structural Characteristics of Electrically Scaled ALD HfO2 from Cyclical Deposition and Annealing Scheme
    Steven Consiglio, Robert D. Clark, Eric Bersch, Joshua LaRose, Ilyssa Wells, Kandabara Tapily, Gert J. Leusink, and Alain Diebold
    ECS Trans. 41(2), (2011), pp. 89-108 (Abstract)
  • Extension of Far UV spectroscopic ellipsometry studies of High-κ dielectric films to 130 nm
    Vimal K. Kamineni, James N. Hilfiker, John L. Freeouf, Steve Consiglio, Robert Clark, Gert J. Leusink, and Alain C. Diebold
    Thin Solid Films 519(9), (2011), pp. 2894-2898 (Abstract)
  • Systematic study of the effect of La2O3 incorporation on the flatband voltage and Si band bending in the TiN/HfO2/SiO2/p-Si stack
    Ming Di, Eric Bersch, Robert D. Clark, Steven Consiglio, Gert J. Leusink, and Alain C. Diebold
    Journal of Applied Physics 108(11), (2010), pp. 114107 (Abstract)
  • Complete band offset characterization of the HfO2/SiO2/Si stack using charge corrected x-ray photoelectron spectroscopy
    E. Bersch, M. Di, S. Consiglio, R. D. Clark, G. J. Leusink, and A. C. Diebold
    Journal of Applied Physics 107(4), (2010), pp. 043702 (Abstract)
X-Ray Based Transistor Fin Metrology
  • Measurement of periodicity and strain in arrays of single crystal silicon and pseudomorphic Si1−xGex/Si fin structures using x-ray reciprocal space maps
    Manasa Medikonda, Gangadhara R. Muthinti, Jody Fronheiser, Vimal Kamineni, Matthew Wormington, Kevin Matney, Thomas N. Adam, Evguenia Karapetrova, and Alain C. Diebold
    Journal of Vacuum Science & Technology B 32(2), (2014), pp. 021804 (Abstract)
Optical Properties: Semiconductors, Dielectrics, and Metals
  • Transiently stimulated second-harmonic generation from silicon nanogratings
    Y.Q. An and A.C.Diebold
    Phys. Rev. B 96, (2017), 201306R (Abstract)
  • Spectroscopic ellipsometry studies of 3-stage deposition of CuIn1-xGaxSe2 on Mo-coated glass and stainless steel substrates
  • Sravan Sunkoju, Sandra Schujman, Dhairya Dixit, Alain Diebold, Jian Li, Robert Collins, and Pradeep Haldar
    Thin Solid Films 606, (2016), pp. 113-119 (Abstract)
  • Optical properties of pseudomorphic Ge1−xSnx (x = 0 to 0.11) alloys on Ge(001)
    Manasa Medikonda, Gangadhara R. Muthinti, Relja Vasić, Thomas N. Adam, Alexander Reznicek, Matthew Wormington, Girish Malladi, Yihwan Kim, Yi-Chiau Huang, and Alain C. Diebold
    Journal of Vacuum Science & Technology B 32(6), (2014), pp. 061805 (Abstract)
  • Enhancing one dimensional sensitivity with plasmonic coupling
    Samuel O’Mullane, Brennan Peterson, Joseph Race, Nick Keller, and Alain C. Diebold
    Optics Express 22(21), (2014), pp. 26246 (Abstract)
  • Effects of stress on the dielectric function of strained pseudomorphic Si1−xGex alloys from 0 to 75% Ge grown on Si (001)
    Gangadhara Raja Muthinti, Manasa Medikonda, Thomas Adam, Alexander Reznicek, and Alain C. Diebold
    Journal of Applied Physics 112(5), (2012), pp. 053519 (Abstract)
  • Optical and structural characterization of thermal oxidation effects of erbium thin films deposited by electron beam on silicon
    Himani S. Kamineni, Vimal K. Kamineni, Richard L. Moore, Spyros Gallis, Alain C. Diebold, Mengbing Huang, and Alain E. Kaloyeros
    Journal of Applied Physics 111(1), (2012), pp. 013104 (Abstract)
  • Electron-phonon interaction effects on the direct gap transitions of nanoscale Si films
    V. K. Kamineni and A. C. Diebold
    Applied Physics Letters 99(15), (2011), pp. 151903 (Abstract)
  • Formation of optical barriers with excellent thermal stability in single-crystal sapphire by hydrogen ion implantation and thermal annealing
    William T. Spratt, Mengbing Huang, Chuanlei Jia, Lei Wang, Vimal K. Kamineni, Alain C. Diebold, and Hua Xia
    Applied Physics Letters 99(11), (2011), pp. 111909 (Abstract)
  • Investigation of optical properties of benzocyclobutene wafer bonding layer used for 3D interconnects via infrared spectroscopic ellipsometry
    Vimal K. Kamineni, Pratibha Singh, LayWai Kong, John Hudnall, Jamal Qureshi, Chris Taylor, Andy Rudack, Sitaram Arkalgud, and Alain C. Diebold
    Thin Solid Films 519(9), (2011), pp. 2924-2928 (Abstract)
  • Dielectric properties and thickness metrology of strain engineered GaN/AlN/Si (111) thin films grown by MOCVD
    M. Tungare, V. K. Kamineni, F. Shahedipour-Sandvik, and A. C. Diebold
    Thin Solid Films 519(9), (2011), pp. 2929-2932 (Abstract)
  • Extension of Far UV spectroscopic ellipsometry studies of High-κ dielectric films to 130 nm
    Vimal K. Kamineni, James N. Hilfiker, John L. Freeouf, Steve Consiglio, Robert Clark, Gert J. Leusink, and Alain C. Diebold
    Thin Solid Films 519(9), (2011), pp. 2894-2898 (Abstract)
  • Systematic study of the effect of La2O3 incorporation on the flatband voltage and Si band bending in the TiN/HfO2/SiO2/p-Si stack
    Ming Di, Eric Bersch, Robert D. Clark, Steven Consiglio, Gert J. Leusink, and Alain C. Diebold
    Journal of Applied Physics 108(11), (2010), pp. 114107 (Abstract)
  • Optical metrology of Ni and NiSi thin films used in the self-aligned silicidation process
    V. K. Kamineni, M. Raymond, E. J. Bersch, B. B. Doris, and A. C. Diebold
    Journal of Applied Physics 107(9), (2010), pp. 093525 (Abstract)
  • Complete band offset characterization of the HfO2/SiO2/Si stack using charge corrected x-ray photoelectron spectroscopy
    E. Bersch, M. Di, S. Consiglio, R. D. Clark, G. J. Leusink, and A. C. Diebold
    Journal of Applied Physics 107(4), (2010), pp. 043702 (Abstract)
Advanced X-Ray Microscopy of TSV Structures
  • Applying x-ray microscopy and finite element modeling to identify the mechanism of stress-assisted void growth in through-silicon vias
    L. W. Kong, J. R. Lloyd, K. B. Yeap, E. Zschech, A. Rudack, M. Liehr, and A. Diebold
    Journal of Applied Physics 110(5), (2011), pp. 053502 (Abstract)
Reference materials Measurements and Advanced TEM
  • Thickness and Rotational Effects in Simulated HRTEM Images of Graphene on Hexagonal Boron Nitride
    Avery J. Green and Alain C. Diebold
    Microscopy and Microanalysis 20(6), (2014), pp. 1753-1763 (Abstract)
  • Simulation Study of Aberration-Corrected High-Resolution Transmission Electron Microscopy Imaging of Few-Layer-Graphene Stacking
    Florence Nelson, Alain C. Diebold, and Robert Hull
    Microscopy and Microanalysis 16(2), (2010), pp. 194-199 (Abstract)
  • Thin Dielectric Film Thickness Determination by Advanced Transmission Electron Microscopy
    A. C. Diebold, B. Foran, C. Kisielowski, D. A. Muller, S. J. Pennycook, E. Principe, and S. Stemmer
    Microscopy and Microanalysis 9(6), (2003), pp. 493-508 (Abstract)

Research

Topical Areas of Research

Physics of Confined Structures

Optical measurements provide an excellent means of characterizing quantum confinement and its impact on the optical response of 1D, 2D, and 3D confined structures. Low temperature photoluminescence provides an excellent means of measuring the exciton binding energy, observing electron – hole liquids, and characterizing carrier lifetime.

Semiconductor Nanoelectronics

All areas of silicon semiconductor characterization and metrology are challenged by the research, development, and manufacturing needs associated with new materials and processes. One focus area is in the characterization and in-line metrology of high k / metal gate film stacks. By combining materials characterization methods including X-Ray Photoelectron Spectroscopy (lab and synchrotron based), Ion Backscattering, X-Ray Diffraction and X-Ray Reflectivity with in line methods such as ellipsometry, we have advanced optical models for the high k dielectrics and the thin metal gate films. Correlation with C-V is always a priority.

We also work in the area of on chip interconnect films and in 3D Through Silicon Via (TSV) metrology. Again, we use the approach of understanding the materials properties through a combined characterization and then develop the appropriate in-line measurement methods. Scanning Acoustic Microscopy provides useful views of the interface between bonded wafers. IR microscopy is well suited to measuring overlay registration. X-Ray microscopy is an excellent means of characterizing voids in the copper TSV structures.

Techniques

Measurement Methods

Ellipsometry and Scatterometry

Equipment: Woollam Spectroscopic Ellipsometers – VUV VASE, IR-VASE, RC2

Scatterometry: NanoDiffract (Mueller Matrix) software

Ellipsometry is a power measurement method capable of determining both film thickness and the dielectric function (complex refractive index) of a material or structure. Optical properties are determined from the change in polarization of elliptically polarized light after reflection from a surface. Spectroscopic ellipsometry has the advantage of measuring optical properties over a range of photon energies. We have laboratory ellipsometers that cover a wide range of wavelengths from 30 microns (Far IR) to 150 nm (VUV). Our in-line ellipsometer covers the range from the near IR to 150 nm in the VUV. The RC2 spectroscopic ellipsometer obtains the complete 16 Mueller Matrix elements vs wavelength.

Using advanced analysis, ellipsometry is capable of measuring the shift in critical points due to quantum confinement of thin semiconductor layers. Ellipsometry provides materials characterization of insulators, semiconductors, and thin metal films. Using advanced software, scatterometry can determine the cross-sectional shape and dimension of lines or contact holes when spectroscopic ellipsometry is used to characterize a grating structure.

The determination of the average shape and dimensions of a lithographically patterned grating structure using Mueller Matrix spectroscopic ellipsometry and a Rigorous Coupled Wave Approximation to solve Maxwell’s equations is known as Scatterometry. (See- Perspective: Optical measurement of feature dimensions and shapes by scatterometry, A.C. Diebold, A. Antonelli, N. Keller, APL Mat. 6, (2018), 058201: abstract: https://doi.org/10.1063/1.50).

Laboratory Ellipsometers
Laboratory Ellipsometers
Optical Properties of CVD Graphene. Graphs
Optical Properties of CVD Graphene. Graphs Figure: Optical Properties of CVD Graphene. Optical properties of large-area polycrystalline chemical vapor deposited graphene by spectroscopic ellipsometry, F. J. Nelson, V. K. Kamineni, T. Zhang, E. S. Comfort, J. U. Lee, and A. C. Diebold, Applied Physics Letters 97(25), (2010), pp. 253110 (Abstract)
Mueller Matrix Spectroscopic Ellipsometry Based Scatterometry
Figure: Mueller Matrix Spectroscopic Ellipsometry Based Scatterometry data for Si/SiGe/Si/SiGe/Si/SiGe/Si fins showing the importance of selecting the most sensitive azimuthal angle. The following study indicates that that MMSE-scatterometry is sensitive to changes in fin CD and layer thickness in a multilayer stack: Mueller matrix spectroscopic ellipsometry based scatterometry simulations of Si and Si/SixGe1-x/Si/SixGe1-x/Si fins for sub 7-nm node gate-all-around transistor metrology, S. Dey, N. Keller, M. Korde, and Alain C. Diebold, SPIE, Metrology, Inspection, and Process Control for Microlithography XXXII, SPIE Advanced Lithography, San Jose, Feb 25-Mar. 1, 2018.

 

Photoluminescence

Photoluminescence (PL) spectroscopy is a nondestructive optical metrology technique that is used to characterize the electronic structure of materials. The process of absorption of photons of higher energy than the bandgap of the material, and the resulting emission of lower energy photons is the fundamental principle behind PL.i The photo-excitation in the PL measurements was carried out using a 355 nm optically pumped semiconductor laser (OPSL). Circularly polarized light is available for selective excitation of K vs K’ photoluminescence from transition metal dichalcogenides.

i S. Perkowitz, Optical Characterization of Semiconductors: Infrared, Raman, and Photoluminescence Spectroscopy (Academic Press, New York, 1993).

Photoluminescence
Figure: Photoluminescence (V. Kamineni, Thesis)
Photoluminescence spectra
Figure: Photoluminescence spectra at 10 K of a ~ 7.6 nm c-Si QW with a 12.7 nm thick thermal oxide after a forming gas anneal. (V. Kamineni, Thesis)

 

Photoreflectance

Photoreflectance is a modulation spectroscopy used to study the properties of semiconductor surfaces and interfaces. The change in reflectance is measured while the built-in electric field in the material being studied is modulated by a pump laser with photon energy higher than the band gap. Sharp derivative spectral features are presented in the region where optical excitation occurs, even in room temperature. Photoreflectance is sensitive to energy band structures, thus characterizes changes in the critical points* of semiconductors and stress, charge trapping in dielectric layers on semiconductors, and dopant concentrations in III-V materials.

*A critical point is a region along the band structure where a high probability of absorption exists. Critical points are found in the band structure in k-space where the energy separation E between the valence and conduction band is nearly constant. These regions of k-space result in large joint density of states and are referred to as critical points in the Brillouin zone.

Photoreflectance Setup (KLA-Tencor)
Photoreflectance Setup

 

Second Harmonic Generation

Equipment: Newport Spectra-Physics Femto Second Lasers (Tsunami, Spitfire, TOPAS)

Optical second harmonic generation is a non-linear optical characterization method that is highly sensitive to interfaces and spin states. The second harmonic output (i.e. the photons produced at twice the probe light frequency) is produced by nonlinear optical processes. For example SHG be used to probe the interface between crystalline silicon and a transparent dielectric layer such as interface of Si(111)/SiO2, Si(001)/SiO2 and reconstructed Si(111) and (100) surfaces. The fact that OSG can observe the surface reconstruction effects on the surface symmetry means that OSG is sensitive to the monolayer thick crystal structures.),. The electric field of the light polarizes the electrons in the lattice. For centro-symmetric crystals such as silicon, the bulk polarization is very weak and only occurs in `electric quadrupole’ contributions. However, the surface breaks this symmetry and the stronger surface dipole effect contributes to the observed signal. For non-centro-symmetric crystals such as GaAs, the bulk is more easily polarized and bulk signal will be strong. SHG is also sensitive to the electric field resulting from charge trapped in a surface oxide layer and can be used to observe changes in defect states in HfO2 films. SHG of nano-scale structures is largely unexplored, and the fact that interfaces dominate these structures makes it an important characterization method.

Second Harmonic Generation Setup
Figure: Second Harmonic Generation Setup
Rotational Anisotropy Second Harmonic Generation from Bi2Se3 during oxidation
Figure: Rotational Anisotropy Second Harmonic Generation from Bi2Se3 during oxidation. Surface oxidation of the topological insulator Bi2Se3, A.J. Green, S. Dey, Y.Q. An, B. O’Brien, S. O’Mullane, B. Thiel, and A.C. Diebold, J. Vac. Sci. Technol. A 34(6), (2016), pp. 061403 (Abstract)

 

Other Measurement Capability at SUNY Polytechnic Institute

X-ray photoemission spectroscopy (XPS)

Equipment: Thermo-Fisher VG Theta Probe

X-ray photoemission spectroscopy (XPS) is a powerful tool for analyzing materials that are used in integrated circuits. XPS can measure both the core levels and the valence band of materials in the near surface (~70-100 Å) region. One of the primary systems we have studied with XPS is the high-k/metal gate stack. In this stack, if the films are thin enough, core levels from each layer can be measured. This allows us to evaluate the chemical composition of each layer, the band alignment between the layers, and the band bending in the substrate. Another material system we have studied is NiSi/Si, which is critical in the electrical contact between the transistor electrodes and the Cu vias. XPS allowed us to distinguish between Ni and NiSi, and to identify the surface oxide associated with each material. XPS has also enabled the study of the time dependence of the oxidation of Topological Insulator Bi2Se3. This work aided in the development of optical models for spectroscopic ellipsometry analysis of these materials.

Time dependent XPS characterization of the oxidation of Bi2Se3
Figure: Time dependent XPS characterization of the oxidation of Bi2Se3. Surface oxidation of the topological insulator Bi2Se3, A.J. Green, S. Dey, Y.Q. An, B. O’Brien, S. O’Mullane, B. Thiel, and A.C. Diebold, J. Vac. Sci. Technol. A 34(6), (2016), pp. 061403 (Abstract)

 

Advanced Microscopy

Equipment: FEI (ThermoElectron) Titan/ Aberration Corrected STEM/ with EDS and ELS and JEOL 2010F Transmission Electron Microscope (TEM) with EELS

We routinely use advanced microscopy of all types including transmission electron microscopy (TEM), acoustic microscopy, and IR microscopy. Recent advances in TEM have greatly improved image resolution through aberration correction. Of particular interest is scanning TEM (STEM) and electron energy loss analysis. Under optimum conditions, a single atom in an atomic column of a well ordered single crystal can be located using STEM and ELS. We also have a strong interest in high resolution ELS characterization of local chemical bonding at interfaces. The group has MacTempas image simulation software that guides experimental work and aid in image interpretation.

Crystal Structure and Aberration Corrected STEM image of the quintuple layer structure of Bi2Se3
Figure: Crystal Structure and Aberration Corrected STEM image of the quintuple layer structure of Bi2Se3. Surface oxidation of the topological insulator Bi2Se3, A.J. Green, S. Dey, Y.Q. An, B. O’Brien, S. O’Mullane, B. Thiel, and A.C. Diebold, J. Vac. Sci. Technol. A 34(6), (2016), pp. 061403 (Abstract)

 

X-ray Metrology

Synchrotron based GI-I-XRD

Grazing Incidence – In plane- X-Ray Diffraction and Pole Figure Analysis of grain texture is done at Synchrotron facilities such as Brookhaven National Laboratory’s NSLS-II. This capability is sued to determine the crystal phase of ultra-thin high K and metal gate structures.

GI-I-XRD of ultra-thin Hf1-xZrxO2 showing the phase transition from Monoclinic to Tetragonal with increasing Zr concentration
Figure: GI-I-XRD of ultra-thin Hf1-xZrxO2 showing the phase transition from Monoclinic to Tetragonal with increasing Zr concentration. (Role of Ge and Si substrates in higher-k tetragonal phase formation and interfacial properties in cyclical atomic layer deposition-anneal Hf1−xZrxO2/Al2O3 thin film stacks, S. Dey, K. Tapily, S. Consiglio, R. D. Clark, C.S. Wajda, G.J. Leusink, A.R. Woll, and A.C. Diebold, J. Appl. Phys. 120(12), (2016), pp. 125304

 

Equipment: BEDE Metrix-L X-Ray Tool

High-Resolution X-Ray Diffraction (HRXRD) : Is used to measure structural parameters in highly perfect materials such as epitaxial layers on single-crystal substrates. Bede RADS software uses full dynamical diffraction theory, the Takagi-Taupin equations, to calculate patterns expected from a given structure.

  • Epitaxial structures

  • Alloy composition of layers and layer mismatch

  • Layer thicknesses

  • Relaxation

  • Offcut, and tilt between layers and substrate

  • Superlattice and MQW period

High Resolution Triple Axis Diffraction : A reciprocal space map can be built up by scanning Omega- 2Theta for different offset Omega angles, to give a picture of the complete diffracted intensity distribution about a particular reciprocal lattice point. An Omega scan in triple axis therefore investigates the tilt mosaic at a given d-spacing. An Omega-2Theta scan investigates the variations in strain at a given tilt angle. In double axis HRXRD, both these effects, if present in the sample, are collected in the detector at the same time. Triple axis HRXRD separates them. Current research includes characterization of multilayer Si/SiGe/Si/SiGe/Si/SiGe/Si/SiGe/Si film stacks.

HR-XRD characterization of Si(1-x)Gex alloys on Si from x= 0 to x = 75
Figure: HR-XRD characterization of Si(1-x)Gex alloys on Si from x= 0 to x = 75. The peak separation between the Si and SiGe 004 diffraction peaks can be used to determine Ge concentration and the spacing between interference fringes can be used to determine the SiGe film thickness. (Effects of stress on the dielectric function of strained pseudomorphic Si1−xGex alloys from 0 to 75% Ge grown on Si (001), G.R. Muthinti, M. Medikonda, T. Adam, A. Reznicek, and A.C. Diebold, Journal of Applied Physics 112(5), (2012), pp. 053519
XRD Reciprocal Space Mapping
Figure: XRD Reciprocal Space Mapping showing the satellite peaks from the fin periodicity Measurement of periodicity and strain in arrays of single crystal silicon and pseudomorphic Si1−xGex/Si fin structures using x-ray reciprocal space maps, M. Medikonda, G.R. Muthinti, J. Fronheiser, V. Kamineni, M. Wormington, K. Matney, T.N. Adam, E. Karapetrova, and A.C. Diebold, J. Vac. Sci.Tech. B 32(2), (2014), pp. 021804

High Resolution X-Ray Reflectivity (HRXRR) : Also known as “grazing incidence X-ray scattering” is a means of measuring layer thickness (less than ~ 500 nm), density and surface and interface roughness (less than ~ 3 nm rms).

X-ray Metrology
Figure: X-ray Metrology

 

News

CHIPS act at the AVS 70 National Symposium

There will be a special session on the CHIPS act at the AVS 70 National Symposium in Tampa, FL. You can find the most up to date details at AVS70.


CHIPS act at the AVS 69 National Symposium

There will be a special session on the CHIPS act at the AVS 69 National Symposium in Portland, OR hosted by the Manufacturing Science and Technology Group. You can find the most up to date details at https://avs69.avs.org/.


2024 Frontiers of Characterization and Metrology for Nanoelectronics

The 2024 Frontiers of Characterization and Metrology for Nanoelectronics will be held in Monterey, CA. Conference co-chairs are J. Alex Liddle, Paul van der Heide (IMEC), Markus Kuhn (Rigaku), Zhihong Ma (INTEL), and Alain Diebold (CNSE).


International Conference on Spectroscopic Ellipsometry  

The International Conference on Spectroscopic Ellipsometry 10 will be held in Boulder CO in the summer of 2025. The conference co-chairs are Mathias Schubert (U. Nebraska), David Aspnes (NCSU), and Alain Diebold (CNSE).


POD CAST on Semiconductor Characterization and Metrology

Markus Kuhn recently interviewed Alain Diebold for a Pod Cast on Understanding Semiconductors. This Pod Cast provides an early look at semiconductor characterization and metrology at SEMATECH and how it evolved along with the industry. https://rsmd.rigaku.com/newsnusecases/professor-alain-diebold-ph.d.-successes-at-sematech-effective-approach-to-metrology-challenges-and-key-takeaways-from-2022-fcmn-conference          


AVS Hudson Mohawk Chapter

Dr. Alain Diebold is a member of the AVS - Hudson Mohawk Chapter. https://avs.org/about-avs/chapters/avs-regional-chapters/hudson-mohawk/meet-a-member-(alain-diebold)/


New Book titled Optical and Electrical Properties of Nanoscale Materials

Optical and Electrical Properties of Nanoscale Materials (published in December, 2021) by Springer Nature. The book provides an overview of 2D materials including graphene, transition metal dichalcogenides, and topological materials. Many derivations are provided in detail. The authors are Alain Diebold and Tino Hofmann (UNC). https://link.springer.com/book/10.1007/978-3-030-80323-0


The Diana Nyyssonen Memorial Best Paper Award 2020/21 Winner at SPIE Advanced Lithography 2021

Congratulations to The Diana Nyyssonen Memorial Best Paper Award 2020/21 Winner: X-ray metrology of nanowire/ nanosheet FETs for advanced technology nodes, Madhulika Korde, R. Joseph Kline, Daniel F. Sunday, Nick Keller, Subhadeep Kal, Cheryl Alix, Aelan Mosden, Alain C. Diebold, Proceedings Volume 11325, Metrology, Inspection, and Process Control for Microlithography XXXIV; 113250W (2020) https://doi.org/10.1117/12.2553371 (edited)


Springer

Dr. Diebold is an editor for a new series titled SpringerBriefs in Materials.


Research highlighted in Semiconductor Engineering

Our research on Mueller Matrix spectroscopic ellipsometry and CD-small angle X-ray scattering discussed in Semiconductor Engineering article on metrology for gate –all-around transistors.


ICSE 8 Barcelona Banner

ICSE 8 Barcelona

The International Conference on Spectroscopic Ellipsometry 8 was held in Barcelona at the World Trade Center from May 26 to 31, 2019. The conference was chaired by M Isabel Alonso and multiple International Organizing Committees.  https://congresses.icmab.es/icse8/ 

The more than 300 attendees came from around the world. Tutorials were held on Sunday May 26 as well as a heart-felt session remembering the countless contributions of Manuel Cardona. Both optical properties of new materials such as 2D (Transition Metal Dichalcogenides) and metal oxides (Ga2O3) and equipment (pump – probe ellipsometry and ellipsometry microscopes) were discussed. The Drude award was shared by Eva Bittrich for research in organic and polymer thin films and interfaces and Chris Sturm for extending our understanding of excitonic and band-band transitions for all classes of crystals especially biaxial anisotropic materials.  Previous Drude award winner have continued highly successful research efforts in spectroscopic ellipsometry.

John Woollam and Alain Diebold
John Woollam and Alain Diebold. John is one of the pioneers in ellipsometry that made its practical use widespread around the world.

Frontiers of Characterization and Metrology for Nanoelectronics – 2019

Monterey, CA April 1 -5, 2019
https://www.nist.gov/news-events/events/2017/03/frontiers-characterization-and-metrology-nanoelectronics


AVS 65th International Symposium & Exhibition

Long Beach, CA Oct. 18 -23, 2018
Focus Topic on Spectroscopic Ellipsometry
Focus Topic on Manufacturing Science and Technology
https://s19.a2zinc.net/clients/avs/avs18/public/enter.aspx


TOM 6 - Frontiers in Optical Metrology

European Optical Society Biannual Meeting, Oct. 8-12, 2018
http://www.myeos.org/events/eosam2018_tom6


Sonal Dey meets New York State Congressman Paul Tonko at the A2 beamline at CHESS

Sonal Dey and Congressman Paul Tonko

Congressman Paul Tonko also serves as a member of the Science, Space and Technology Committee, and the subcommittee on Research and Technology, which has jurisdiction over non-defense federal scientific research and development. Sonal demonstrated to Congressman Tonko the growing need of using a national synchrotron facility like CHESS in elucidating the physicochemical properties of thin film structures, and nanoscale patterned wafers which are being researched for use in next generation semiconductor chips, computers, cell phones, and internet of things, to name a few. For more information on Congressman Paul Tonko’s visit to CHESS and subsequent interaction with the scientific community, visit http://news.chess.cornell.edu/articles/2016/Ryan161111.html.


Avery J. Green’s article selected as Editor’s Pick by JVSTA

Avery Green in the lab

His recent work, entitled Surface oxidation of the topological insulator Bi2Se3, was selected by the Journal of Vacuum Science & Technology A for its application to the rapidly growing field of topological materials. The article constitutes a multi-experimental approach to measuring the time-dependent surface quality of Bi2Se3(Link)

Journal of Vacuum Science & Technology A publishes reports of original research, letters, and review articles that focus on fundamental scientific understanding of interfaces, surfaces, plasmas and thin films and on using this understanding to advance the state-of-the-art in various technological applications. More information about JVSTA can be found at http://scitation.aip.org/content/avs/journal/jvsta.


Sonal Dey featured in CHESS newsletter for metrological work on ALD higher-k dielectrics

Sonal Dey

His work, now featured in the publication, Role of Ge and Si substrates in higher-k tetragonal phase formation and interfacial properties in cyclical atomic layer deposition-anneal Hf1−xZrxO2/Al2O3 thin film stacks, utilizes the CHESS synchrotron source to create high-resolution x-ray diffraction maps. This collaboration provides invaluable information about the orientation, phase, composition, and stress of ultrathin layer stacks. CHESS recognized this work for its high scientific quality, and importance to materials science applications in the semiconductor community.

CHESS is a high-intensity X-ray source supported by the National Science Foundation which provides our users state-of-the-art synchrotron radiation facilities for research in Physics, Chemistry, Biology, and Environmental and Materials Sciences. A special NIH Research Resource, called MacCHESS, supports special facilities for macromolecular crystallography and BioSAXS. CHESS-U is the current upgrade that will extend CHESS’s capacity for cutting edge research. For more information on CHESS, visit http://www.chess.cornell.edu/.


Avery J. Green earns award for best student presentation in Spectroscopic Ellipsometry at the 62nd annual AVS Symposium

Avery Green wins award at the 62nd annual AVS Symposium

His presentation, entitled “Structural and ellipsometric analysis of the topological insulator Bi2Se3″ was selected as the best student talk in the focus topic of Spectroscopic Ellipsometry at the 62nd annual AVS Symposium in San Jose, CA.

The AVS International Symposium and Exhibition addresses cutting-edge issues associated with materials, processing, and interfaces in the research and manufacturing communities. The weeklong Symposium fosters a multidisciplinary environment that cuts across traditional boundaries between disciplines, featuring papers from AVS technical divisions, technology groups, and focus topics on emerging technologies. The equipment exhibition is one of the largest in the world and provides an opportunity to view the latest products and services offered by 200+ participating companies. More than 2,000 scientists and engineers gather from around the world to attend.

For more information on the conference, visit https://www.avs.org/symposium


Dhairya J. Dixit earns award for best student paper of 2014 at the Advanced Semiconductor Manufacturing Conference (ASMC)

Dhairya Dixit earns an award for best student paper

His paper, entitled “Mueller Matrix Optical Scatterometry of Si Fins Patterned using Directed Self-Assembly Block Copolymer Line Arrays” was recognized by the ASMC technical committee for its experimental and analytical quality, as well as its value to the semiconductor community.

ASMC continues to be one of the leading international technical conferences for discussing solutions that improve the collective manufacturing expertise of the semiconductor industry. Solving the challenges presented by semiconductor manufacturing has been a combined effort by device makers, equipment and materials suppliers and academics. Technical presentations at ASMC highlight industry innovations with specific results.

For more information on the conference, visit http://www.semi.org/node/38316


Dr. Alain Diebold, CNSE Empire Innovation Professor of Nanoscale Science recognized as a SPIE Fellow at SPIE Advanced Lithography Conference, San Jose,CA (2013)

Dr. Alain Diebold with SPIE President Bill Arnold

He is one of only 69 members of distinction to earn the honor in 2013, which highlights technical achievements and service to the general optics community, as well as service to the society.

Known as the world’s leading nanoelectronics lithography conference and exhibition, the 39th annual SPIE Advanced Lithography conference was held in February 24 through 28 in California at the San Jose Convention Center.

For more information on the conference, visit spie.org


 

CNSE Student G.Raja Muthinti won the Best Paper Award in Metrology, Inspection, and Process Control for Microlithography XXVII at SPIE Advanced Lithography Conference, San Jose, CA (2013)

G. Raja Muthinti wins Best Paper Award

A panel of judges from academia, industry, and government honored him during a ceremony for his research paper, “Mueller-based scatterometry measurement of nanoscale structures with anisotropic in-plane optical properties,” based on technical merit and presentation quality.

Muthinti, who is from Vishakapatnam, India, is currently pursuing PhD in Nanoscale Engineering at CNSE.

Award ceremony at the SPIE conference, visit Best Paper Award:Video


CNSE Student Lay Way Kong won second prize for her poster paper at the 11th International Workshop on Stress-Induced Phenomena in Metallization April 12 – 14, 2010 in Dresden, Germany.

Lay Way Kong

This work titled “Sub-imaging techniques for 3D-interconnect on bonded wafer pairs” is a collaboration between CNSE, SEMATECH and the Fraunhofer Institute for Nondestructive Testing Dresden (IZFP-D).

The workshop provided a forum for presenting current research and for discussions on issues related to stress-induced phenomena in on-chip metal interconnects and solder joints. Stresses arising in metal structures and surrounding dielectric materials due to novel process steps, thermal mismatch of thin film materials, electromigration or microstructure changes can lead to degradation and failure of microelectronic products.

The implementation of low dielectric constant materials into the inlaid copper backend-of-line process has brought new challenges for process integration, design optimization and reliability. Understanding stressrelated phenomena in new materials and structures is critical for development and integration of future metal structures in microelectronic products.